CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - rom vhdl

搜索资源列表

  1. rom

    0下载:
  2. 基于vhdl的rom的描述,经过确定测试通过.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:928
    • 提供者:stone
  1. VHDL CPU部件

    1下载:
  2. 包括一个简单的ALU和一些寄存器、ROM的设计。有一些以TXT文件格式存在,用的时候只要改一下格式即可。
  3. 所属分类:VHDL编程

  1. VHDL-ROM4.基于ROM的正弦波发生器的设计

    0下载:
  2. 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 ,ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), wav
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-27
    • 文件大小:98211
    • 提供者:宫逢源
  1. ug_lpm_rom.rar

    0下载:
  2. quartus rom的生成 运用matlab生成.mif或.hex文件 载入rom表,quartus rom the use of matlab generated generation. mif or. hex file loading rom Table
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:824156
    • 提供者:王欣欣
  1. rom.rar

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个ROM存储器。,The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to achieve a ROM memory.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:179606
    • 提供者:Daisy
  1. rom_des

    0下载:
  2. DES 加密算法的VHDL和VERILOG 源程序及其TESTBENCH。-VHDL and VERILOG sourcecode and TESTBENCH of DES encrypting algorithm
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-12
    • 文件大小:30807
    • 提供者:
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. SPI_controller

    1下载:
  2. SPI serial flash ROM的verilog源代码, 针对winbond W25x16,已经经过逻辑验证,并实际用在芯片设计中,作为一个模块,正常工作.-SPI serial flash ROM in verilog source code for winbond W25x16, logic has been verified, and actually used in chip design, as a module to work.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-26
    • 文件大小:8632
    • 提供者:Jerd Hu
  1. rom

    0下载:
  2. Rom的读取的Verilog代码,自己编写的,大家参考参考啊-Rom read the Verilog code, I have written, your information ah
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:693
    • 提供者:keke
  1. FPGA-VHDL-DDS

    0下载:
  2. 基于FPGA的DDS波形发生器--程序,如果需要产生输出不同的位数的波形,可以自行修改程序中的rom表中数据位数-FPGA-based waveform generator DDS- procedure, if the number of bits required to generate output of different waveforms in the program can modify data in the table the median rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1256292
    • 提供者:许聪
  1. blockram

    0下载:
  2. 本人正在学习vhdl语言,买了套开发板,这些是配套光盘里的内容,非常难得,网上找不到的-I was learning VHDL language, bought a set of development boards, which are compatible CD-ROM's content, and very rare. not online! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:22209
    • 提供者:孙强
  1. coswave

    0下载:
  2. 主要是通过Altera公司的Cuclone系列的FPGA-EP1C3T144C8产生余弦波的源代码 基于LPM-ROM余弦波一周期含有256个10位数据;-Mainly through Altera s Cuclone series of FPGA-EP1C3T144C8 cosine wave generated source code based on the LPM-ROM cosine wave of one cycle containing 256 10-bit data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:323437
    • 提供者:江俊
  1. rom

    1下载:
  2. 根据实验要求,对rom和ram进行验证,实现各项功能。-According to the experimental requirements of rom and ram for authentication, the realization of various functions.
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:71422
    • 提供者:cgrcgh
  1. rom

    1下载:
  2. 只读存储器VHDL代码,可运行实现,已用quartusII6.0验证-Read-only memory VHDL code can be run to achieve has been used to verify quartusII6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1322
    • 提供者:干璐
  1. vhdl

    0下载:
  2. 《数字信号处理的FPGA实现》(第二版)光盘VHDL代码-" The FPGA digital signal processing to achieve" (second edition) CD-ROM VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:251456
    • 提供者:王昊
  1. rom

    0下载:
  2. Turbo码编码器的Rom宏模块,此模块中包含Rom.v文件和存储交织地址的.mif文件-Turbo code encoder Rom macro module, this module contains intertwined Rom.v documents and store addresses. Mif file
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:8831
    • 提供者:sunhao
  1. RS(204.188)design

    2下载:
  2. RS(204,188)译码器说明 原文件: rs_decoder.v(顶层文件), SyndromeCalc.v(计算伴随式), BM_KES.v(BM求解关键方程), Forney.v(Forney算法求误差样值), CheinSearch.v(搜索错误位置),ff_mul.v(有限域乘法)。 ROM及初始化文件: rom_inv.v(求逆运算), rom_power.v(求幂运算); rom_inv.mif(ROM初始化文件), rom_po
  3. 所属分类:其他小程序

    • 发布日期:2013-05-13
    • 文件大小:13900
    • 提供者:川天古木
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. FPGA-Prototyping-by-VHDL-Examples---Xilinx-Sparta

    0下载:
  2. FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others-FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16619694
    • 提供者:Aleks
  1. ROM

    0下载:
  2. vhdl中的ROM程序,包括matlab表格程序,调用FPGA里的RAM实现ROM功能-The ROM vhdl procedures, including matlab spreadsheet program, call the FPGA to achieve ROM functions in the RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1959344
    • 提供者:周杨鹏
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com